Skip to main content
  • Research Article
  • Open access
  • Published:

An Overview of Reconfigurable Hardware in Embedded Systems

Abstract

Over the past few years, the realm of embedded systems has expanded to include a wide variety of products, ranging from digital cameras, to sensor networks, to medical imaging systems. Consequently, engineers strive to create ever smaller and faster products, many of which have stringent power requirements. Coupled with increasing pressure to decrease costs and time-to-market, the design constraints of embedded systems pose a serious challenge to embedded systems designers. Reconfigurable hardware can provide a flexible and efficient platform for satisfying the area, performance, cost, and power requirements of many embedded systems. This article presents an overview of reconfigurable computing in embedded systems, in terms of benefits it can provide, how it has already been used, design issues, and hurdles that have slowed its adoption.

[1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204]

References

  1. Greene J, Hamdy E, Beal S: Antifuse field programmable gate arrays. Proceedings of the IEEE 1993,81(7):1042-1056. 10.1109/5.231343

    Article  Google Scholar 

  2. Actel Corporation : Programming Antifuse Devices Application Note. Actel, Mountain View, Calif, USA, 2005, http://www.actel.com

  3. Lu G, Singh H, Lee M, Bagherzadeh N, Kurdahi FJ, Filho EMC: The morphoSys parallel reconfigurable system. Proceedings of 5th International Euro-Par Conference on Parallel Processing (Euro-Par '99), August-September 1999, Toulouse, France 727-734.

    Google Scholar 

  4. Kuzmanov G, Gaydadjiev G, Vassiliadis S: The MOLEN processor prototype. Proceedings of 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '04), April 2004, Napa Valley, Calif, USA 296-299.

    Chapter  Google Scholar 

  5. Pramanik D, Kamberian H, Progler C, Sanie M, Pinto D: Cost effective strategies for ASIC masks. Cost and Performance in Integrated Circuit Creation, February 2003, Santa Clara, Calif, USA, Proceedings of SPIE 5043: 142-152.

    Article  Google Scholar 

  6. Actel Corporation : Flash FPGAs in the value-based market white paper. In Tech. Rep. 55900021-0. Actel, Mountain View, Calif, USA; 2005. http://www.actel.com

    Google Scholar 

  7. Moyer B: Low-power design for embedded processors. Proceedings of the IEEE 2001,89(11):1576-1587. 10.1109/5.964439

    Article  Google Scholar 

  8. Abnous A, Seno K, Ichikawa Y, Wan M, Rabaey J: Evaluation of a low-power reconfigurable DSP architecture. Proceedings of the 5th Reconfigurable Architectures Workshop (RAW '98), March 1998, Orlando, Fla, USA 55-60.

    Google Scholar 

  9. Mencer O, Morf M, Flynn MJ: Hardware software tri-design of encryption for mobile communication units. Proceedings of IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP '98), May 1998, Seattler, Wash, USA 5: 3045-3048.

    Google Scholar 

  10. Tessier R, Burleson W: Reconfigurable computing and digital signal processing: a survey. Journal of VLSI Signal Processing 2001,28(1-2):7-27.

    Article  MATH  Google Scholar 

  11. Lodi A, Toma M, Campi F: A pipelined configurable gate array for embedded processors. Proceedings of ACM/SIGDA 11th International Symposium on Field-Programmable Gate Arrays (FPGA '03), February 2003, Monterey, Calif, USA 21-29.

    Google Scholar 

  12. Rauwerda GK, Smit GJM, Heysters PM: Implementation of multi-standard wireless communication receivers in a heterogeneous reconfigurable system-on-chip. Proceedings of the 16th ProRISC Workshop, November 2005, Veldhoven, The Netherlands 421-427.

    Google Scholar 

  13. Kuon I, Rose J: Measuring the gap between FPGAs and ASICs. Proceedings of the ACM/SIGDA 14th International Symposium on Field-Programmable Gate Arrays (FPGA '06), February 2006, Monterey, Calif, USA 21-30.

    Google Scholar 

  14. Laplante PA: Computing requirements for self-repairing space systems. Journal of Aerospace Computing, Information and Communication 2005,2(3):154-169. 10.2514/1.10609

    Article  Google Scholar 

  15. Branca T: How to Add Features and Fix Bugs - Remotely. Here's What You Need to Consider When Designing a Xilinx Online Application. Xilinx, 2001

  16. Da Silva CF, Tokarnia AM: RECASTER: synthesis of fault-tolerant embedded systems based on dynamically reconfigurable FPGAs. Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS '04), April 2004, Santa Fe, NM, USA 2003-2008.

    Google Scholar 

  17. Rose J, El Gamal A, Sangiovanni-Vincentelli A: Architecture of field-programmable gate arrays. Proceedings of the IEEE 1993,81(7):1013-1029. 10.1109/5.231340

    Article  Google Scholar 

  18. Mangione-Smith WH, Hutchings B, Andrews D, et al.: Seeking solutions in configurable computing. IEEE Computer 1997,30(12):38-43. 10.1109/2.642810

    Article  Google Scholar 

  19. Hauck S: The roles of FPGAs in reprogrammable systems. Proceedings of the IEEE 1998,86(4):615-638. 10.1109/5.663540

    Article  Google Scholar 

  20. Hartenstein R: Trends in reconfigurable logic and reconfigurable computing. Proceedings of the 9th IEEE International Conference on Electronics, Circuits, and Systems (ICECS '02), September 2002, Dubrovnik, Croatia 801-808.

    Chapter  Google Scholar 

  21. Compton K, Hauck S: Reconfigurable computing: a survey of systems and software. ACM Computing Surveys 2002,34(2):171-210. 10.1145/508352.508353

    Article  Google Scholar 

  22. Todman TJ, Constantinides GA, Wilton SJE, Mencer O, Luk W, Cheung PYK: Reconfigurable computing: architectures and design methods. IEE Proceedings: Computers and Digital Techniques 2005,152(2):193-207. 10.1049/ip-cdt:20045086

    Google Scholar 

  23. Kafafi N, Bozman K, Wilton SJE: Architectures and algorithms for synthesizable embedded programmable logic cores. Proceedings of ACM/SIGDA 11th International Symposium on Field-Programmable Gate Arrays (FPGA '03), February 2003, Monterey, Calif, USA 3-11.

    Google Scholar 

  24. Luthra M, Gupta S, Dutt N, Gupta R, Nicolau A: Interface synthesis using memory mapping for an FPGA platform. Proceedings of IEEE 21st International Conference on Computer Design: VLSI in Computers and Processors (ICCD '03), October 2003, San Jose, Calif, USA 140-145.

    Chapter  Google Scholar 

  25. Wong T, Wilton SJE: Placement and routing for non-rectangular embedded programmable logic cores in SoC design. IEEE International Conference on Field-Programmable Technology (FPT '04), December 2004, Brisbane, Australia 65-72.

    Google Scholar 

  26. Shannon L, Chow P: Simplifying the integration of processing elements in computing systems using a programmable controller. Proceedings of 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '05), April 2005, Napa Valley, Calif, USA 63-72.

    Chapter  Google Scholar 

  27. Quinton BR, Wilton SJE: Post-silicon debug using programmable logic cores. Proceedings of the IEEE International Conference on Field-Programmable Technology (FPT '05), December 2005, Singapore, Republic of Singapore 241-248.

    Google Scholar 

  28. Alsolaim A, Becker J, Glesner M, Starzyk J: Architecture and application of a dynamically reconfigurable hardware array for future mobile communication systems. Proceedings of the Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '00), April 2000, Napa Valley, Calif, USA 205-214.

    Google Scholar 

  29. Dick C, Harris F: FPGA implementation of an OFDM PHY. Proceedings of the 37th Asilomar Conference on Signals, Systems and Computers, November 2003, Pacific Grove, Calif, USA 1: 905-909.

    Google Scholar 

  30. Mohebbi B, Filho EC, Maestre R, Davies M, Kurdahi FJ: A case study of mapping a software-defined radio (SDR) application on a reconfigurable DSP core. Proceedings of 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, October 2003, Newport Beach, Calif, USA 103-108.

    Google Scholar 

  31. Sarrigeorgidis K, Rabaey JM: Massively parallel wireless reconfigurable processor architecture and programming. Proceedings of 17th International Parallel and Distributed Processing Symposium (IPDPS '03), April 2003, Nice, France 170-177.

    Google Scholar 

  32. Ebeling C, Fisher C, Xing G, Shen M, Liu H: Implementing an OFDM receiver on the RaPiD reconfigurable architecture. IEEE Transactions on Computers 2004,53(11):1436-1448. 10.1109/TC.2004.98

    Article  Google Scholar 

  33. Rauwerda GK, Heysters PM, Smit GJM: Mapping wireless communication algorithms onto a reconfigurable architecture. Journal of Supercomputing 2004,30(3):263-282.

    Article  Google Scholar 

  34. Rudra A: FPGA-based applications for software radio. RF Design Magazine 2004, 24-35.

    Google Scholar 

  35. Ryser P: Software define radio with reconfigurable hardware and software: a framework for a TV broadcast receiver. Embedded Systems Conference, March 2005, San Francisco, Calif, USA http://www.xilinx.com/products/design_resources/proc_central/resource/proc_central_resources.htm

    Google Scholar 

  36. Altera Inc : Altera Devices on the Cutting Edge of Medical Technology. 2000, http://www.altera.com/corporate/cust_successes/customer/cst-CTI_PET.html

  37. Coric S, Leeser M, Miller E, Trepanier M: Parallel-beam backprojection: an FPGA implementation optimized for medical imaging. Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '02), February 2002, Monterey, Calif, USA 217-226.

    Google Scholar 

  38. Johnson A, Mackenzie K: Pattern matching in reconfigurable logic for packet classification. Proceedings of International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES '01), November 2001, Atlanta, Ga, USA 126-130.

    Chapter  Google Scholar 

  39. Braun F, Lockwood J, Waldvogel M: Protocol wrappers for layered network packet processing in reconfigurable hardware. IEEE Micro 2002,22(1):66-74. 10.1109/40.988691

    Article  Google Scholar 

  40. Horta EL, Lockwood JW, Taylor DE, Parlour D: Dynamic hardware plugins in an FPGA with partial run-time reconfiguration. Proceedings of the 39th Design Automation Conference, June 2002, New Orleans, La, USA 343-348.

    Google Scholar 

  41. Lattice Semiconductor Corporation : Lattice Orca ORLI10G Datasheet. 2002.

    Google Scholar 

  42. Baker ZK, Prasanna VK: A methodology for synthesis of efficient intrusion detection systems on FPGAs. Proceedings of the 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '04), April 2004, Napa Valley, Calif, USA 135-144.

    Chapter  Google Scholar 

  43. Crowe F, Daly A, Kerins T, Marnane W: Single-chip FPGA implementation of a cryptographic co-processor. Proceedings of the IEEE International Conference on Field-Programmable Technology, December 2004, Brisbane, Australia 279-285.

    Google Scholar 

  44. Kwok TT-O, Kwok Y-K: On the design of a self-reconfigurable SoPC based cryptographic engine. Proceedings of 24th International Conference on Distributed Computing Systems Workshops (ICDCS '04), March 2004, Tokyo, Japan 876-881.

    Google Scholar 

  45. Khasgiwale R, Krnan L, Perinkulam A, Tessier R: Reconfigurable data acquisition system for weather radar applications. Proceedings of 48th Midwest Symposium on Circuits and Systems (MWSCAS '05), August 2005, Cincinnati, Ohio, USA 822-825.

    Google Scholar 

  46. Sanderson C, Shand D: FPGAs supplant processors and ASICs in advanced imaging applications. FPGA and Structured ASIC Journal 2005. http://www.fpgajournal.com/articles_2005/20050104_nallatech.htm

    Google Scholar 

  47. Rimmele TR: Recent advances in solar adaptive optics. Advancements in Adaptive Optics, June 2004, Glasgow, Scotland, UK, Proceedings of SPIE 5490: 34-46.

    Article  Google Scholar 

  48. Fry T, Hauck S: SPIHT image compression on FPGAs. IEEE Transactions on Circuits and Systems for Video Technology 2005,15(9):1138-1147.

    Article  Google Scholar 

  49. Reynolds RO, Smith PH, Bell LS, Keller HU: Design of Mars lander cameras for Mars Pathfinder, Mars Surveyor '98 and Mars Surveyor '01. IEEE Transactions on Instrumentation and Measurement 2001,50(1):63-71. 10.1109/19.903879

    Article  Google Scholar 

  50. Kifle M, Andro M, Tran QK, Fujikawa G, Chu PP: Toward a dynamically reconfigurable computing and communication system for small spacecraft. Proceedings of the 21st International Communication Satellite System Conference & Exhibit (ICSSC '03), April 2003, Yokohama, Japan

    Google Scholar 

  51. Stoica A, Keymeulen D, Lazaro C-S, Li W-T, Hayworth K, Tawel R: Toward on-board synthesis and adaptation of electronic functions: an evolvable hardware approach. Proceedings of IEEE Aerospace Applications Conference, March 1999, Aspen, Colo, USA 2: 351-357.

    Google Scholar 

  52. Weingarten JW, Gruener G, Siegwart R: A state-of-the-art 3D sensor for robot navigation. Proceedings of IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS '04), September-October 2004, Sendai, Japan 3: 2155-2160.

    Google Scholar 

  53. MacLean WJ: An evaluation of the suitability of FPGAs for embedded vision systems. Proceedings of IEEE Conference on Computer Vision and Pattern Recognition (CVPR '05), June 2005, San Diego, Calif, USA 3: 131-131.

    Google Scholar 

  54. Parnell K: You can take it with you: on the road with Xilinx. Xcell Journal 2002., (43):

  55. Parnell K: The changing face of automotive ECU design. Xcell Journal 2005., (53):

  56. Drivven : Programmable Logic IP Cores for FPGA and CPLD. , 2006 http://www.drivven.com/ProgrammableLogicIPCores.htm

  57. Desmet D, Avasare P, Coene P, et al.: Design of Cam-E-leon: a run-time reconfigurable web camera. In Embedded Processor Design Challenges: Systems, Architectures, Modeling, and Simulation (SAMOS '02), LNCS. Volume 2268. Springer, Berlin, Germany; 2002:274-290.

    Chapter  Google Scholar 

  58. Leaser M, Miller S, Yu H: Smart camera based on reconfigurable hardware enables diverse real-time applications. Proceedings of 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '04), April 2004, Napa Valley, Calif, USA 147-155.

    Chapter  Google Scholar 

  59. Mignolet J-Y, Vernalde S, Verkest D, Lauwereins R: Enabling hardware-software multitasking on a reconfigurable computing platform for networked portable multimedia appliances. Proceedings of the International Conference on Engineering Reconfigurable Systems and Algorithms, June 2002, Las Vegas, Nev, USA 116-122.

    Google Scholar 

  60. Hou KM, Yao E, Tu XW, et al.: A reconfigurable and flexible parallel 3D vision system for a mobile robot. Proceedings of Computer Architectures for Machine Perception, December 1993, New Orleans, La, USA 215-221.

    Google Scholar 

  61. Durbano JP, Ortiz FE, Humphrey JR, Curt PF, Prather DW: FPGA-based acceleration of the 3D finite-difference time-domain method. Proceedings of the 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '04), April 2004, Napa Valley, Calif, USA 156-163.

    Chapter  Google Scholar 

  62. Elixent : DFA1000 RISC Accelerator. Elixent, Bristol, England; 2002.

    Google Scholar 

  63. Leijten-Nowak K, Van Meerbergen JL: An FPGA architecture with enhanced datapath functionality. Proceedings of ACM/SIGDA 11th International Symposium on Field-Programmable Gate Arrays (FPGA '03), February 2003, Monterey, Calif, USA 195-204.

    Google Scholar 

  64. Silicon Hive : Silicon Hive Technology Primer. Phillips Electronics NV, The Netherlands. 2003

  65. Ye AG, Rose J: Using multi-bit logic blocks and automated packing to improve field-programmable gate array density for implementing datapath circuits. IEEE International Conference on Field-Programmable Technology (FPT '04), December 2004, Brisbane, Australia 129-136.

    Google Scholar 

  66. Arnold JM: S5: the architecture and development flow of a software configurable processor. Proceedings of the IEEE International Conference on Field-Programmable Technology (FPT '05), December 2005, Singapore, Republic of Singapore 121-128.

    Google Scholar 

  67. Altera Inc : Stratix II Device Handbook, Volume 1. Altera, San Jose, Calif, USA; 2005.

    Google Scholar 

  68. Xilinx Inc : Virtex-II Pro and Virtex-II Pro X Platform FPGAs: Complete Data Sheet. Xilinx, San Jose, Calif, USA, 2005

  69. Xilinx Inc : Virtex-4 Family Overview. Xilinx, San Jose, Calif, USA, 2004

  70. Haynes S, Ferrari A, Cheung P: Flexible reconfigurable multiplier blocks suitable for enhancing the architecture of FPGAs. Proceedings of the Custom Integrated Circuits Conference, May 1999, San Diego, Calif, USA 191-194.

    Google Scholar 

  71. Hauck S, Fry T, Hosler M, Kao J: The Chimaera reconfigurable functional unit. Proceedings of the 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '97), April 1997, Napa Valley, Calif, USA 87-96.

    Chapter  Google Scholar 

  72. Betz V, Rose J, Marquardt A: Architecture and CAD for Deep-Submicron FPGAs. Kluwer Academic, Boston, Mass, USA; 1999.

    Book  Google Scholar 

  73. Kum K-I, Sung W: Combined word-length optimization and high-level synthesis of digital signal processing systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2001,20(8):921-930. 10.1109/43.936374

    Article  Google Scholar 

  74. Constantinides GA, Cheung PYK, Luk W: The multiple wordlength paradigm. Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '01), April-May 2001, Rohnert Park, Calif, USA 51-60.

    Google Scholar 

  75. Malik U, So K, Diessel O: Resource-aware run-time elaboration of behavioural FPGA specifications. Proceedings of IEEE International Conference on Field-Programmable Technology (FPT '02), December 2002, Hong Kong 68-75.

    Google Scholar 

  76. Zhao Z, Leeser M: Precision modeling of floating-point applications for variable bitwidth computing. Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '03), June 2003, Las Vegas, Nev, USA 208-214.

    Google Scholar 

  77. DeHon A, Adams J, DeLorimier M, et al.: Design patterns for reconfigurable computing. Proceedings of the 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '04), April 2004, Napa Valley, Calif, USA 13-23.

    Chapter  Google Scholar 

  78. Han K, Evans BL, Swartzlander EE Jr.: Data wordlength reduction for low-power signal processing software. IEEE Workshop on Signal Processing Systems (SIPS '04), October 2004, Austin, Tex, USA 343-348.

    Google Scholar 

  79. Park J, Diniz PC, Shesha Shayee KR: Performance and area modeling of complete FPGA designs in the presence of loop transformations. IEEE Transactions on Computers 2004,53(11):1420-1435. 10.1109/TC.2004.101

    Article  Google Scholar 

  80. Chang ML, Hauck S: Précis: a usercentric word-length optimization tool. IEEE Design and Test of Computers 2005,22(4):349-361. 10.1109/MDT.2005.92

    Article  Google Scholar 

  81. Morra C, Becker J, Ayala-Rincon M, Hartenstein R: FELIX: using rewriting-logic for generating functionally equivalent implementations. Proceedings of International Conference on Field-Programmable Logic and Applications, August 2005, Tampere, Finland 25-30.

    Google Scholar 

  82. Cong J, Xu S: Technology mapping for FPGAs with embedded memory blocks. Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '98), February 1998, Monterey, Calif, USA 179-188.

    Google Scholar 

  83. Wilton SJE: Implementing logic in FPGA memory arrays: heterogeneous memory architectures. Proceedings of IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '02), April 2002, Napa Valley, Calif, USA 142-147.

    Google Scholar 

  84. Tessier R, Betz V, Neto D, Gopalsamy T: Power-aware RAM mapping for FPGA embedded memory blocks. Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '06), February 2006, Monterey, Calif, USA 189-198.

    Google Scholar 

  85. Choi S, Scrofano R, Prasanna VK, Jang J-W: Energy-efficient signal processing using FPGAs. Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '03), February 2003, Monterey, Calif, USA 225-234.

    Google Scholar 

  86. Ou J, Choi S, Prasanna VK: Performance modeling of reconfigurable SoC architectures and energy-efficient mapping of a class of application. Proceedings of 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '03), April 2003, Napa Valley, Calif, USA 241-250.

    Google Scholar 

  87. Gayasen A, Lee K, Vijaykrishnan N, Kandemir M, Irwin MJ, Tuan T: A dual-vdd low power FPGA architecture. Proceedings of the 14th International Conference on Field-Programmable Logic and Applications (FPL '04), August-September 2004, Leuven, Belgium 145-157.

    Google Scholar 

  88. Li F, Lin Y, He L, Cong J: Low-power FPGA using pre-defined dual-Vdd/dual-Vt fabrics. Proceedings of ACM/SIGDA 12th International Symposium on Field-Programmable Gate Arrays (FPGA '04), February 2004, Monterey, Calif, USA 12: 42-50.

    Google Scholar 

  89. Rahman A, Polavarapuv V: Evaluation of low-leakage design techniques for field programmable gate arrays. ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '04), February 2004, Monterey, Calif, USA 12: 23-30.

    Google Scholar 

  90. Lamoureux J, Wilton SJE: On the interaction between power-aware computer-aided design algorithms for field-programmable gate arrays. Journal of Low Power Electronics 2005,1(2):119-132. 10.1166/jolpe.2005.023

    Article  Google Scholar 

  91. Poon KKW, Wilton SJE, Yan A: A detailed power model for field-programmable gate arrays. ACM Transactions on Design Automation of Electronic Systems 2005,10(2):279-302. 10.1145/1059876.1059881

    Article  Google Scholar 

  92. DeHon A, Huang R, Wawrzynek J: Hardware-assisted fast routing. Proceedings of the 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '02), April 2002, Napa Valley, Calif, USA 205-215.

    Chapter  Google Scholar 

  93. Maidee P, Ababei C, Bazargan K: Fast timing-driven partitioning-based placement for island style FPGAs. Proceedings of the 40th Design Automation Conference (DAC '03), June 2003, Anaheim, Calif, USA 598-603.

    Google Scholar 

  94. Wrighton MG, DeHon AM: Hardware-assisted simulated annealing with application for fast FPGA placement. ACM/SIGDA 11th International Symposium on Field-Programmable Gate Arrays (FPGA '03), February 2003, Monterey, Calif, USA 33-42.

    Google Scholar 

  95. Handa M, Vemuri R: Hardware assisted two dimensional ultra fast placement. Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS '04), April 2004, Santa Fe, NM, USA 18: 1915-1922.

    Google Scholar 

  96. Li S, Ebeling C: QuickRoute: a fast routing algorithm for pipelined architectures. Proceedings of IEEE International Conference on Field-Programmable Technology (FPT '04), December 2004, Brisbane, Australia 73-80.

    Google Scholar 

  97. Lysecky R, Vahid F, Tan SX-D: A study of the scalability of on-chip routing for just-in-time FPGA compilation. Proceedings of 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '05), April 2005, Napa Valley, Calif, USA 57-62.

    Chapter  Google Scholar 

  98. Chu M, Weaver N, Sulimma K, DeHon A, Wawrzynek J: Object oriented circuit-generators in Java. Proceedings of the 6th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), April 1998, Napa Valley, Calif, USA 158-166.

    Google Scholar 

  99. Derbyshire A, Luk W: Compiling run-time parametrisable designs. Proceedings of the IEEE International Conference on Field-Programmable Technology (FPT '02), December 2002, Hong Kong 44-51.

    Google Scholar 

  100. Wolf W: Computers as Components: Principles of Embedded Computer Systems Design. Morgan Kaufmann, San Francisco, Calif, USA; 2000.

    Google Scholar 

  101. Barat F, Lauwereins R, Deconinck G: Reconfigurable instruction set processors from a hardware/software perspective. IEEE Transactions on Software Engineering 2002,28(9):847-862. 10.1109/TSE.2002.1033225

    Article  Google Scholar 

  102. Razdan F, Smith M: A high-performance microarchitecture with hardware-programmable functional units. Proceedings of the 27th Annual International Symposium on Microarchitecture (MICRO '94), November-December 1994, San Jose, Calif, USA 172-180.

    Google Scholar 

  103. Wittig RD, Chow P: OneChip: an FPGA processor with reconfigurable logic. Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines, April 1996, Napa Valley, Calif, USA 126-135.

    Chapter  Google Scholar 

  104. Carrillo JE, Chow P: The effect of reconfigurable units in superscalar processors. Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '01), February 2001, Monterrey, Calif, USA 141-150.

    Google Scholar 

  105. Mei B, Vernalde S, Verkest D, Lauwereins R: Design methodology for a tightly coupled VLIW/reconfigurable matrix architecture: a case study. Proceedings of the Conference on Design, Automation and Test in Europe (DATE '04), February 2004, Paris, France 2: 1224-1229.

    Article  Google Scholar 

  106. Altera Inc : Nios II Processor Reference Handbook. Altera, San Jose, Calif, USA; 2005.

    Google Scholar 

  107. Xilinx Inc : MicroBlaze Processor Reference Guide. Xilinx, San Jose, Calif, USA, 2003

  108. Lawrence A, Kay A, Luk W, Nomura T, Page I: Using reconfigurable hardware to speed up product development and performance. Proceedings of the 5th International Workshop on Field-Programmable Logic and Applications (FPL '95), August-September 1995, Oxford, UK 111-118.

    Chapter  Google Scholar 

  109. Rabaey JM, Abnous A, Ichikawa Y, Seno K, Wan M: Heterogeneous reconfigurable systems. IEEE Workshop on Signal Processing Systems, Design and Implementation (SiPS '97), November 1997, Leicester, UK 24-34.

    Google Scholar 

  110. Hauser JR, Wawrzynek J: Garp: a MIPS processor with a reconfigurable coprocessor. Proceedings of the 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '97), April 1997, Napa Valley, Calif, USA 12-21.

    Chapter  Google Scholar 

  111. Schmit H, Whelihan D, Tsai A, Moe M, Levine B, Taylor RR: PipeRench: a virtualized programmable datapath in 0.18 Micron technology. Proceedings of the Custom Integrated Circuits Conference, May 2002, Orlando, Fla, USA 63-66.

    Google Scholar 

  112. Bocchi M, De Bartolomeis C, Mucci C, et al.: A XiRisc-based SoC for embedded DSP applications. Proceedings of the IEEE Custom Integrated Circuits Conference, October 2004, Orlando, Fla, USA 595-598.

    Google Scholar 

  113. Kujoth RB, Wang C-W, Gottlieb DB, Cook JJ, Carter NP: A reconfigurable unit for a clustered programmable-reconfigurable processor. Proceedings of ACM/SIGDA 12th International Symposium on Field-Programmable Gate Arrays (FPGA '04), February 2004, Monterey, Calif, USA 12: 200-209.

    Google Scholar 

  114. Xilinx Inc : Virtex-II Platform FPGAs: Complete Data Sheet. Xilinx, San Jose, Calif, USA, 2004

  115. Actel Corporation : VariCoreTM Embedded Programmable Gate Array Core ( EPGATM ) 0.18μm Family. Actel, Mountain View, Calif, USA, 2001

  116. M2000 Press Release—May 15, 2002. M2000, Bièvres, France, 2002

  117. Compton K, Hauck S: Totem: custom reconfigurable array generation. Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '01), April-May 2001, Rohnert Park, Calif, USA 111-119.

    Google Scholar 

  118. STMicroelectronics : STMicroelectronics Introduces New Member of SPEArTM Family of Configurable System-on-Chip ICs. Press Release, 2005, http://us.st.com/stonline/press/news/year2005/p1711p.htm

  119. Yang F, Paindavoine M: Implementation of an RBF neural network on embedded systems: real-time face tracking and identity verification. IEEE Transactions on Neural Networks 2003,14(5):1162-1175. 10.1109/TNN.2003.816035

    Article  Google Scholar 

  120. Weaver P, Palma F: Using software-configurable processors in biometric applications. Industrial Embedded Systems Resource Guide 2005, 84-86. http://www.industrial-embedded.com

    Google Scholar 

  121. George V, Hui Z, Rabaey J: The design of a low energy FPGA. Proceedings of the International Symposium on Low Power Electronics and Design, August 1999, San Diego, Calif, USA 188-193.

    Google Scholar 

  122. Heysters P, Smit GJM, Molenkamp E: Energy-efficiency of the MONTIUM reconfigurable tile processor. Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '04), June 2004, Las Vegas, Nev, USA 38-44.

    Google Scholar 

  123. Asadi G, Tahoori MB: Soft error rate estimation and mitigation for SRAM-based FPGAs. Proceedings of the ACM/SIGDA 13th International Symposium on Field-Programmable Gate Arrays (FPGA '05), February 2005, Monterey, Calif, USA 149-160.

    Google Scholar 

  124. Xilinx Inc : EasyPath Devices Datasheet. Xilinx, San Jose, Calif, USA, 2005

  125. Campregher N, Cheung PYK, Constantindes GA, Vasilko M: Yield enhancements of design-specific FPGAs. Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '06), February 2006, Monterey, Calif, USA 93-100.

    Google Scholar 

  126. Sterpone L, Violante M: Analysis of the robustness of the TMR architecture in SRAM-based FPGAs. IEEE Transactions on Nuclear Science 2005,52(5):1545-1549.

    Article  Google Scholar 

  127. Bernardi P, Sonza Reorda M, Sterpone L, Violante M: On the evaluation of SEU sensitiveness in SRAM-based FPGAs. Proceedings of the 10th IEEE International On-Line Testing Symposium (IOLTS &04), July 2004, Madeira Island, Portugal 115-120.

    Chapter  Google Scholar 

  128. Tiwari A, Tomko KA: Enhanced reliability of finite-state machines in FPGA through efficient fault detection and correction. IEEE Transactions on Reliability 2005,54(3):459-467. 10.1109/TR.2005.853438

    Article  Google Scholar 

  129. Graham P, Caffrey M, Wirthlin M, Johnson DE, Rollins N: Reconfigurable computing in space: from current technology to reconfigurable systems-on-a-chip. Proceedings of the IEEE Aerospace Conference, March 2003, Big Sky, Mont, USA 5: 2399-2410.

    Google Scholar 

  130. Hasuko K, Fukunaga C, Ichimiya R, et al.: A remote control system for FPGA-embedded modules in radiation enviornments. IEEE Transactions on Nuclear Science 2002,49(2, part 1):501-506. 10.1109/TNS.2002.1003787

    Article  Google Scholar 

  131. Lach J, Mangione-Smith WH, Potkonjak M: Efficiently supporting fault-tolerance in FPGAs. Proceedings of the ACM/SIGDA 6th International Symposium on Field-Programmable Gate Arrays (FPGA '98), February 1998, Monterey, Calif, USA 105-115.

    Google Scholar 

  132. Mokhoff N: 'Infrastructure IP' Seen Aiding SoC Yields. EE Times, July 2002

  133. Dave BP, Jha NK: COFTA: hardware-software co-synthesis of heterogeneous distributed embedded systems for low overhead fault tolerance. IEEE Transactions on Computers 1999,48(4):417-441. 10.1109/12.762534

    Article  Google Scholar 

  134. Liu JWS: Real-Time Systems. Prentice-Hall, Englewood Cliffs, NJ, USA; 2000.

    Google Scholar 

  135. Verdier F, Prevotet J, Benkhelifa A, Chillet D, Pillement S: Exploring RTOS issues with a high-level model of a reconfigurable SoC platform. Proceedings of the European Workshop on Reconfigurable Communication Centric (ReCoSoC '05), June 2005, Montpellier, France

    Google Scholar 

  136. Griese B, Vonnahme E, Porrmann M, Ruckert U: Hardware support for dynamic reconfiguration in reconfigurable SoC architectures. Proceedings of the 14th International Conference on Field-Programmable Logic and Applications (FPL '04), August-September 2004, Leuven, Belgium 842-846.

    Google Scholar 

  137. Steiger C, Walder H, Platzner M: Operating systems for reconfigurable embedded platforms: online scheduling of real-time tasks. IEEE Transactions on Computers 2004,53(11):1393-1407. 10.1109/TC.2004.99

    Article  Google Scholar 

  138. Danne K, Platzner M: Periodic real-time scheduling for FPGA computers. Proceedings of the 3rd Workshop on Intelligent Solutions in Embedded Systems (WISES '05), May 2005, Hamburg, Germany 117-127.

    Google Scholar 

  139. Brisk P, Kaplan A, Kastner R, Sarrafzadeh M: Instruction generation and regularity extraction for reconfigurable processors. Proceedings of the International Conferences on Compilers Architectures and Synthesis of Embeded Systems (CASES '02), October 2002, Grenoble, France 262-269.

    Chapter  Google Scholar 

  140. Yehia S, Clark N, Mahlke S, Flautner K: Exploring the design space of LUT-based transparent accelerators. International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES '05), September 2005, San Francisco, Calif, USA 11-21.

    Google Scholar 

  141. Yu P, Mitra T: Satisfying real-time constraints with custom instructions. Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and Systems Synthesis (CODES+ISSS '05), September 2005, New Jersey, NJ, USA 166-171.

    Google Scholar 

  142. Kean T: Secure configuration of field programmable gate arrays. Proceedings of 11th International Conference on Field-Programmable Logic and Applications (FPL '01), August 2001, Belfast, Northern Ireland, UK 142-151.

    Google Scholar 

  143. Bossuet L, Gogniat G, Burleson W: Dynamically configurable security for SRAM FPGA bitstreams. Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS '04), April 2004, Santa Fe, NM, USA 1995-2002.

    Google Scholar 

  144. Xilinx Inc , Telikepalli A: Is Your FPGA Design Secure? Xilinx, San Jose, Calif, USA, 2003

  145. Altera Inc : FPGA Design Security Solution Using Max II Devices. Altera, San Jose, Calif, USA; 2004.

    Google Scholar 

  146. Rupp CR, Landguth M, Garverick T, et al.: The NAPA adaptive processing architecture. Proceedings of 6th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), April 1998, Napa Valley, Calif, USA 28-37.

    Google Scholar 

  147. Bazargan K, Kastner R, Sarrafzadeh M: Fast template placement for reconfigurable computing systems. IEEE Design and Test of Computers 2000,17(1):68-83. 10.1109/54.825678

    Article  Google Scholar 

  148. Compton K, Li Z, Cooley J, Knol S, Hauck S: Configuration relocation and defragmentation for run-time reconfigurable computing. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2002,10(3):209-220.

    Article  Google Scholar 

  149. Malik U, Diessel O: On the placement and granularity of FPGA configurations. Proceedings of IEEE International Conference on Field-Programmable Technology (FPT '04), December 2004, Brisbane, Australia 161-168.

    Google Scholar 

  150. Brebner G: Swappable logic unit: a paradigm for virtual hardware. Proceedings of the 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '97), April 1997, Napa Valley, Calif, USA 77-86.

    Chapter  Google Scholar 

  151. Caspi E, Huang R, Markovskiy Y, Yeh J, Wawrzynek J, DeHon A: A streaming multi-threaded model. Proceedings of the 3rd Workshop on Media and Stream Processors (MSP '01), December 2001, Austin, Tex, USA 21-28.

    Google Scholar 

  152. Markovskiy Y, Caspi E, Huang R, et al.: Analysis of quasi-static scheduling techniques in a virtualized reconfigurable machine. Proceedings of 10th ACM International Symposium on Field-Programmable Gate Arrays (FPGA '02), February 2002, Monterey, Calif, USA 196-205.

    Google Scholar 

  153. Nollet V, Mignolet J-Y, Bartic TA, Verkest D, Vernalde S, Lauwereins R: Hierarchical run-time reconfiguration managed by an operating system for reconfigurable systems. Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 2003, Las Vegas, Nev, USA 81-87.

    Google Scholar 

  154. Li Z, Hauck S: Configuration compression for virtex FPGAs. Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '01), April-May 2001, Rohnert Park, Calif, USA 147-159.

    Google Scholar 

  155. Li Z, Compton K, Hauck S: Configuration caching techniques for FPGA. Proceedings of 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '00), April 2000, Napa Valley, Calif, USA

    Google Scholar 

  156. DeHon A: DPGA utilization and application. Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '96), February 1996, Monterey, Calif, USA 115-121.

    Google Scholar 

  157. Trimberger S, Carberry D, Johnson A, Wong J: A time-multiplexed FPGA. Proceedings of the 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, April 1997, Napa Valley, Calif, USA 22-28.

    Chapter  Google Scholar 

  158. Li Z, Hauck S: Configuration prefetching techniques for partial reconfigurable coprocessor with relocation and defragmentation. Proceedings of 10th ACM International Symposium on Field-Programmable Gate Arrays (FPGA '02), February 2002, Monterey, Calif, USA 187-195.

    Google Scholar 

  159. Maestre R, Kurdahi FJ, Bagherzadeh N, Singh H, Hermida R, Fernandez M: Kernel scheduling in reconfigurable computing. Proceedings of Design, Automation and Test in Europe Conference and Exhibition, March 1999, Munich, Germany 90-96.

    Google Scholar 

  160. Gajjala Purna KM, Bhatia D: Temporal partitioning and scheduling data flow graphs for reconfigurable computers. IEEE Transactions on Computers 1999,48(6):579-590. 10.1109/12.773795

    Article  Google Scholar 

  161. Brebner G: A virtual hardware operating system for the Xilinx XC6200. Proceedings of the 6th International Workshop on Field-Programmable Logic and Applications (FPL '96), September 1996, Dermstadt, Germany 327-336.

    Google Scholar 

  162. Resano J, Mozos D, Verkest D, Catthoor F: A reconfiguration manager for dynamically reconfigurable hardware. IEEE Design and Test of Computers 2005,22(5):452-460. 10.1109/MDT.2005.100

    Article  Google Scholar 

  163. Sudarsanam A, Srinivasan M, Panchanathan S: Resource estimation and task scheduling for multithreaded reconfigurable architectures. Proceedings of the International Conference on Parallel and Distributed Systems (ICPADS '04), July 2004, Newport Beach, Calif, USA 323-330.

    Google Scholar 

  164. Diessel O, ElGindy H, Middendorf M, Schmeck H, Schmidt B: Dynamic scheduling of tasks on partially reconfigurable FPGAs. IEE Proceedings: Computers and Digital Techniques 2000,147(3):181-188. 10.1049/ip-cdt:20000485

    Google Scholar 

  165. Quinn H, King LAS, Leeser M, Meleis W: Runtime assignment of reconfigurable hardware components for image processing pipelines. 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '03), April 2003, Napa Valley, Calif, USA 173-182.

    Google Scholar 

  166. Stitt G, Lysecky R, Vahid F: Dynamic hardware/software partitioning: a first approach. Proceedings of the 40th Design Automation Conference (DAC '03), June 2003, Anaheim, Calif, USA 250-255.

    Google Scholar 

  167. Noguera J, Badia R: Multitasking on reconfigurable architectures: microarchitecture support and dynamic scheduling. ACM Transactions on Embedded Computing Systems 2004,3(2):385-406. 10.1145/993396.993404

    Article  Google Scholar 

  168. Ahmadinia A, Bobda C, Koch D, Majer M, Teich J: Task scheduling for heterogeneous reconfigurable computers. Proceedings of the 17th Symposium on Integrated Cicuits and Systems Design, September 2004, Pernambuco, Brazil 22-27.

    Google Scholar 

  169. Lysecky R, Vahid F: A configurable logic architecture for dynamic hardware/software partitioning. Proceedings of Design, Automation and Test in Europe Conference and Exhibition, February 2004, Paris, France 1: 480-485.

    Article  Google Scholar 

  170. Fu W, Compton K: An execution environment for reconfigurable computing. Proceedings of the 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '05), April 2005, Napa Valley, Calif, USA 149-158.

    Google Scholar 

  171. Wiangtong T, Cheung PYK, Luk W: Hardware/software codesign: a systematic approach targeting data-intensive applications. IEEE Signal Processing Magazine 2005,22(3):14-22.

    Article  Google Scholar 

  172. Benoit P, Torres L, Sassatelli G, Robert M, Cambon G: Automatic task scheduling /loop unrolling using dedicated RTR controllers in coarse grain reconfigurable architectures. Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS '05), April 2005, Denver, Colo, USA 148a.

    Chapter  Google Scholar 

  173. Simmler H, Levison L, Manner R: Multitasking on FPGA coprocessors. The International Conference on Field-Programmable Logic, Reconfigurable Computing, and Applications (FPL '00), August 2000, Villach, Austria 121-130.

    Chapter  Google Scholar 

  174. Kalte H, Porrmann M: Context saving and restoring for multitasking in reconfigurable systems. Proceedings of International Conference on Field-Programmable Logic and Applications (FPL '05), August 2005, Tampere, Finland 223-228.

    Google Scholar 

  175. Li Y, Callahan T, Darnell E, Harr R, Kurkure U, Stockwood J: Hardware-software co-design of embedded reconfigurable architectures. Proceedings of 37th Design Automation Conference (DAC '00), June 2000, Los Angeles, Calif, USA 507-512.

    Chapter  Google Scholar 

  176. Savage MJW, Salcic Z, Coghill G, Covic G: Extended genetic algorithm for codesign optimization of DSP systems in FPGAs. Proceedings of IEEE International Conference on Field-Programmable Technology (FPT '04), December 2004, Brisbane, Australia 291-294.

    Google Scholar 

  177. Kumar S, Aylor JH, Johnson BW, Wulf WA: The Codesign of Embedded Systems: A Unified Hardware/Software Representation. Springer, New York, NY, USA; 1995.

    Google Scholar 

  178. Chiodo M, Giusto P, Jurecska A, Hsieh HC, Sangiovanni-Vincentelli A, Lavagno L: Hardware-software codesign of embedded systems. IEEE Micro 1994,14(4):26-36. 10.1109/40.296155

    Article  Google Scholar 

  179. Ernst R: Codesign of embedded systems: status and trends. IEEE Design and Test of Computers 1998,15(2):45-54. 10.1109/54.679207

    Article  Google Scholar 

  180. Wolf W: A decade of hardware/software codesign. IEEE Computer 2003,36(4):38-43. 10.1109/MC.2003.1193227

    Article  Google Scholar 

  181. Gokhale M, Stone JM, Arnold J, Kalinowski M: Stream-oriented FPGA computing in the Streams-C high level language. Proceedings of the Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '00), April 2000, Napa Valley, Calif, USA

    Google Scholar 

  182. Synopsys Inc : CoCentric System C Compiler. Synopsys, Mountain View, Calif, USA, 2000

  183. Weinhardt M, Luk W: Pipeline vectorization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2001,20(2):234-248. 10.1109/43.908452

    Article  Google Scholar 

  184. Niehaus D, Andrews D: Using the multi-threaded computation model as a unifying framework for hardware-software co-design and implementation. Proceedings of the 9th International Workshop on Object-Oriented Real-Time Dependable Systems (WORDS '03), October 2003, Capri, Italy 317.

    Google Scholar 

  185. Swahn B, Hassoun S: Hardware scheduling for dynamic adaptability using external profiling and hardware threading. Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD '03), November 2003, San Jose, Calif, USA 58-64.

    Google Scholar 

  186. De Micheli G: Hardware synthesis from C/C++ models. Proceedings of Design, Automation and Test in Europe Conference and Exhibition, March 1999, Munich, Germany 382-383.

    Google Scholar 

  187. DeHon A: Very large scale spatial computing. Proceedings of the 3rd International Conference on Unconventional Models of Computation (UMC '02), October 2002, Kobe, Japan 27-37.

    Chapter  Google Scholar 

  188. Andrews D, Niehaus D, Ashenden P: Programming models for hybrid CPU/FPGA chips. IEEE Computer 2004,37(1):118-120.

    Article  Google Scholar 

  189. David J-P, Legat J-D: A data-flow oriented co-design for reconfigurable systems. Proceedings of the 9th International Workshop on Rapid System Prototyping, June 1998, Leuven, Belgium 207-211.

    Google Scholar 

  190. Rinker R, Carter M, Patel A, et al.: An automated process for compiling dataflow graphics into reconfigurable hardware. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2001,9(1):130-139.

    Article  Google Scholar 

  191. Mei B, Vernalde S, Verkest D, De Man H, Lauwereins R: DRESC: a retargetable compiler for coarse-grained reconfigurable architectures. Proceedings of IEEE International Conference on Field-Programmable Technology (FPT '02), December 2002, Hong Kong 166-173.

    Google Scholar 

  192. Cardoso JMP: On combining temporal partitioning and sharing of functional units in compilation for reconfigurable architectures. IEEE Transactions on Computers 2003,52(10):1362-1375. 10.1109/TC.2003.1234532

    Article  MathSciNet  Google Scholar 

  193. Banerjee S, Bozorgzadeh E, Dutt N: Physically-aware HW-SW partitioning for reconfigurable architectures with partial dynamic reconfiguration. Proceedings of the 42nd Design Automation Conference (DAC '05), June 2005, Anaheim, Calif, USA 335-340.

    Google Scholar 

  194. Bobda C, Ahmadinia A: Dynamic interconnection of reconfigurable modules on reconfigurable devices. IEEE Design and Test of Computers 2005,22(5):443-451. 10.1109/MDT.2005.109

    Article  Google Scholar 

  195. Hutchings B, Nelson B: Developing and debugging FPGA applications in hardware with JHDL. Proceedings of 33rd Asilomar Conference on Signals, Systems and Computers, October 1999, Pacific Grove, Calif, USA 1: 554-558.

    Google Scholar 

  196. Tomko KA, Tiwari A: Hardware/software co-debugging for reconfigurable computing. Proceedings of the 5th IEEE International High-Level Design, Validation, and Test Workshop (HLDVT '00), November 2000, Berkeley, Calif, USA 59-63.

    Google Scholar 

  197. Rissa T, Luk W, Cheung PYK: Automated combination of simulation and hardware prototyping. Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '04), June 2004, Las Vegas, Nev, USA 184-193.

    Google Scholar 

  198. Talavera G, Nollet V, Mignolet J-Y, et al.: Hardware-software debugging techniques for reconfigurable systems-on-chip. Proceedings of the IEEE International Conference on Industrial Technology (ICIT '04), December 2004, Hammamet, Tunisia 3: 1402-1407.

    Google Scholar 

  199. Jin Y, Satish N, Ravindran K, Keutzer K: An automated exploration framework for FPGA-based soft multiprocessor systems. Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '05), September 2005, Jersey City, NJ, USA 273-278.

    Google Scholar 

  200. Yiannacouras P, Steffan JG, Rose J: Application-specific customization of soft processor microarchitecture. Proceedings of ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '06), February 2006, Monterey, Calif, USA 201-210.

    Google Scholar 

  201. Gonzalez RE: Xtensa: a configurable and extensible processor. IEEE Micro 2000,20(2):60-70. 10.1109/40.848473

    Article  Google Scholar 

  202. Yan A, Wilton SJE: Sequential synthesizable embedded programmable logic cores for system-on-chip. Proceedings of the IEEE Custom Integrated Circuits Conference (CICC '04), October 2004, Orlando, Fla, USA 435-438.

    Google Scholar 

  203. Hauck S, Compton K, Eguro K, Holland M, Philips S, Sharma A: Totem: domain-specific reconfigurable logic. to appear in IEEE Transactions on Very Large Scale Integration (VLSI) Systems

  204. Kuon I, Egier A, Rose J: Design, layout and verification of an FPGA using automated tools. Proceedings of the ACM/SIGDA 13th International Symposium on Field-Programmable Gate Arrays (FPGA '05), February 2005, Monterey, Calif, USA 215-226.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Philip Garcia.

Rights and permissions

Open Access This article is distributed under the terms of the Creative Commons Attribution 2.0 International License (https://creativecommons.org/licenses/by/2.0), which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited.

Reprints and permissions

About this article

Cite this article

Garcia, P., Compton, K., Schulte, M. et al. An Overview of Reconfigurable Hardware in Embedded Systems. J Embedded Systems 2006, 056320 (2006). https://doi.org/10.1155/ES/2006/56320

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1155/ES/2006/56320

Keywords