Skip to main content
  • Research Article
  • Open access
  • Published:

Examining the Viability of FPGA Supercomputing

Abstract

For certain applications, custom computational hardware created using field programmable gate arrays (FPGAs) can produce significant performance improvements over processors, leading some in academia and industry to call for the inclusion of FPGAs in supercomputing clusters. This paper presents a comparative analysis of FPGAs and traditional processors, focusing on floating-point performance and procurement costs, revealing economic hurdles in the adoption of FPGAs for general high-performance computing (HPC).

[1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51]

References

  1. Compton K, Hauck S: Reconfigurable computing: a survey of systems and software. ACM Computing Surveys 2002,34(2):171-210. 10.1145/508352.508353

    Article  Google Scholar 

  2. Puttegowda K, Worek W, Pappas P, Dandapani A, Athanas P, Dickerman A: A run-time reconfigurable system for gene-sequence searching. Proceedings of the 16th International Conference on VLSI Design, January 2003, New Delhi, India 561-566.

    Google Scholar 

  3. TimeLogic : DeCypher Engine G4. 2006, http://www.timelogic.com/decypher_engine.html

  4. Tessier R, Burleson W: Reconfigurable computing for digital signal processing: a survey. Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology 2001,28(1-2):7-27.

    Article  MATH  Google Scholar 

  5. Patterson C: High performance DES encryption in virtex(tm) FPGAs using Jbits(tm). Proceedings of the 8th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '00), April 2000, Napa Valley, Calif, USA 113.

    Google Scholar 

  6. Sinnappan R, Hazelhurst S: A reconfigurable approach to packet filtering. Proceedings of the 11th International Conference on Field-Programmable Logic and Applications (FPL '01), August 2001, Belfast, Northern Ireland, UK, Lecture Notes in Computer Science 2147: 638-642.

    Article  Google Scholar 

  7. Jean J, Liang X, Drozd B, Tomko K: Accelerating an IR automatic target recognition application with FPGAs. Proceedings of the 7th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCMM '99), April 1999, Napa Valley, Calif, USA 290-291.

    Google Scholar 

  8. Baker ZK, Prasanna VK: Time and area efficient pattern matching on FPGAs. Proceedings of the 12th ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA '04), February 2004, Monterey, Calif, USA 223-232.

    Google Scholar 

  9. SRC : SRC-7 Product Sheet. 2006, http://www.srccomp.com/Product%20Sheets/

  10. Vance A: Start-up could kick Opteron into overdrive. The Register 2006.

    Google Scholar 

  11. Woods G: Cray ARSC presentation FPGA. Proceedings of ARSC High-Performance Reconfigurable Computing Workshop, August 2005, Fairbanks, Ala, USA

    Google Scholar 

  12. Collins J, Kent G, Yardley J: Using the starbridge systems FPGA-based hypercomputer for cancer research. Proceedings of the 7th International Conference on Military and Aerospace Programmable Logic Devices (MAPLD '04), September 2004, Washington, DC, USA

    Google Scholar 

  13. SGI : Extraordinary acceleration of workflows with reconfigurable application-specific computing from SGI. In White Paper. Silicon Graphics, Mountain View, Calif, USA; 2004.

    Google Scholar 

  14. Dou Y, Vassiliadis S, Kuzmanov GK, Gaydadjiev GN: 64-bit floating-point FPGA matrix multiplication. Proceedings of the 13th ACM/SIGDA ACM International Symposium on Field Programmable Gate Arrays (FPGA '05), February 2005, Monterey, Calif, USA 86-95.

    Google Scholar 

  15. Smith MC, Vetter JS, Alam SR: Scientific computing beyond CPUs: FPGA implementations of common scientific Kernels. Proceedings of the 8th International Conference on Military and Aerospace Programmable Logic Devices (MAPLD '05), September 2005, Washington, DC, USA

    Google Scholar 

  16. Stahlberg E, Wohlever K, Strenski D: "Defining reconfigurable supercomputing" Status Report of the OpenFPGA Initiative: Effort in FPGA Application Standardization. Cray User Group, Seattle, Wash, USA, May 2006

    Google Scholar 

  17. Turkington K, Masselos K, Constantinides GA, Leong P: FPGA acceleration of the LINPACK benchmark using handel-C and the celoxica floating point library. Proceedings of the 9th International Conference on Military and Aerospace Programmable Logic Devices (MAPLD '06), September 2006, Washington, DC, USA

    Google Scholar 

  18. Bohm W, Hammes H: A transformational approach to high performance embedded computing. Proceedings of High Performance Embedded Computing (HPEC '04), September 2004, Lexington, Mass, USA

    Google Scholar 

  19. Underwood K, Ligon W III, Sass R: An analysis of the cost effectiveness of an adaptable computing cluster. Cluster Computing 2004,7(4):357-371. 10.1023/B:CLUS.0000039495.40522.de

    Article  Google Scholar 

  20. Bennett D, Dellinger E, Mason J, Sundarajan P: An FPGA-oriented target language for HLL compilation. Proceedings of Reconfigurable Systems Summer Institute (RSSI '06), July 2006, Urbana, Ill, USA

    Google Scholar 

  21. Smith M, Vetter J, Alam S: Scientific computing beyond CPUs: FPGA implementations of common scientific Kernels. Proceedings of the 8th International Conference on Military and Aerospace Programmable Logic Devices (MAPLD '05), September 2005, Washington, DC, USA

    Google Scholar 

  22. Shand D, Chamberlain R, Denning D, Lord E: A study into implementing the lattice Boltzmann floating point model with reconfigurable computing. Proceedings of Reconfigurable Systems Summer Institute (RSSI '06), July 2006, Urbana, Ill, USA

    Google Scholar 

  23. Scrofano R, Gokhale M, Trouw F, Prasanna VK: A hardware/software approach to molecular dynamics on reconfigurable computers. Proceedings of the 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '06), April 2006, Napa, Calif, USA 23-34.

    Google Scholar 

  24. Kindratenko V, Pointer D: A case study in porting a production scientific supercomputing application to a reconfigurable computer. Proceedings of the 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '06), April 2006, Napa, Calif, USA 13-22.

    Google Scholar 

  25. Smith M, Alam S, Agarwal P, Vetter J, Caliga D: A task-based development model for accelerating large-scale scientific applications on FPGA-based reconfigurable computing platforms. Proceedings of Reconfigurable Systems Summer Institute (RSSI '06), July 2006, Urbana, Ill, USA

    Google Scholar 

  26. Kindratenko V: First-hand experience on porting MATPHOT code to SRC platform. Proceedings of Reconfigurable Systems Summer Institute (RSSI '06), July 2006, Urbana, Ill, USA

    Google Scholar 

  27. El-Araby E, El-Ghazawi T, Le Moigne J, Gaj K: Wavelet spectral dimension reduction of hyperspectral imagery on a reconfigurable computer. Proceedings of IEEE International Conference on Field-Programmable Technology (FPT '04), December 2004, Brisbane, Queensland, Australia 399-402.

    Google Scholar 

  28. Akella S, Buell DA, Cordova LE, Hammes J: The DARPA data transposition Benchmark on a reconfigurable computer. Proceedings of the 8th International Conference on Military and Aerospace Programmable Logic Devices (MAPLD '05), September 2005, Washington, DC, USA

    Google Scholar 

  29. El-Araby E, Taher M, El-Ghazawi T, Abouellail M, Sastry N, Gaj K: Efficient implementation of a string matching algorithm for SRC and cray reconfigurable computers. Proceedings of the 8th International Conference on Military and Aerospace Programmable Logic Devices (MAPLD '05), September 2005, Washington, DC, USA

    Google Scholar 

  30. Gaj K, El-Ghazawi T, Poznanovic D, et al.: Development and maintenance of user libraries for SRC reconfigurable computers. Proceedings of the 8th International Conference on Military and Aerospace Programmable Logic Devices (MAPLD '05), September 2005, Washington, DC, USA

    Google Scholar 

  31. Meuer H, Dongarra J, Strohmaier E: Top 500 List. 2005, http://www.top500.org/

  32. Underwood KD, Hemmert KS: Closing the gap: CPU and FPGA trends in sustainable floating-point BLAS performance. Proceedings of the 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '04), April 2004, Napa, Calif, USA 219-228.

    Chapter  Google Scholar 

  33. Zhuo L, Prasanna VK: Design tradeoffs for BLAS operations on reconfigurable hardware. Proceedings of the International Conference on Parallel Processing (ICPP '05), June 2005, Oslo, Norway 78-86.

    Google Scholar 

  34. Ho CH, Leong MP, Leong PHW, Becker J, Glesner M: Rapid prototyping of FPGA based floating point DSP systems. Proceedings of the 13th IEEE International Workshop on Rapid System Prototyping (RSP '02), July 2002, Darmstadt, Germany 19-24.

    Chapter  Google Scholar 

  35. Xilinx : Floating-point Operator v2.0 Datasheet. 2006.

    Google Scholar 

  36. ClearSpeed : Advance Accelerator Board Product Brief. 2006, http://www.clearspeed.com/docs/resources/

  37. ClearSpeed : Low volume price quote on Advance Accelerator Board. Email correspondence, 2006

  38. Chen T, Raghavan R, Dale J, Iwata E: Cell Broadband Engine Architecture and its first implementation. IBM DevelopWorks, 2005

  39. Lynch Merrill: Playstation 3 slippage looking more likely—implications. Technology Strategy Report

  40. Kahney L: System X faster, but falls behind. Wired News 2004.

    Google Scholar 

  41. Ribbens CJ, Varadarjan S, Chinnusamy M, Swaminathan G: Balancing computational science and computer science research on a terascale computing facility. Proceedings of the 5th International Conference on Computational Science (ICCS '05), May 2005, Atlanta, Ga, USA 3515: 60-67.

    Google Scholar 

  42. Keith U: FPGAs vs. CPUs: trends in peak floating-point performance. Proceedings of the 12th ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA '04), February 2004, Monterey, Calif, USA 171-180.

    Google Scholar 

  43. Holland B, Vacas M, Aggarwal V, DeVille R, Troxel I, George AD: Survey of C-based application mapping tools for reconfigurable computing. Proceedings of the 8th International Conference on Military and Aerospace Programmable Logic Devices (MAPLD '05), September 2005, Washington, DC, USA

    Google Scholar 

  44. Shirazi N, Athanas P, Abbott A: Implementation of a 2-D fast fourier transform on a FPGA-based custom computing machine. Proceedings of the 5th International Workshop on Field Programmable Logic and Applications (FPL '95), August-September 1995, Oxford, UK

    Google Scholar 

  45. Liang J, Tessier R, Mencer O: Floating point unit generation and evaluation for FPGAs. Proceedings of the 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '03), April 2003, Napa, Calif, USA 185-194.

    Google Scholar 

  46. Belanovic P, Leeser M: A library of parameterized floating point modules and their use. Proceedings of the 12th International Conference on Field Programmable Logic and Applications (FPL '02), September 2002, Montpelier, France

    Google Scholar 

  47. Dido J, Geraudie N, Loiseau L, Payeur O, Savaria Y, Poirier D: A flexible floating-point format for optimizing data-paths and operators in FPGA based DSPs. Proceedings of the 10th ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA '02), February 2002, Monterey, Calif, USA 50-55.

    Google Scholar 

  48. Nakasato N, Hamada T: Astrophysical hydrodynamics simulations on a reconfigurable system. Proceedings of the 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '05), April 2005, Napa, Calif, USA 279-280.

    Chapter  Google Scholar 

  49. Gropp W: Closing the performance gap. Proceedings of DOE SciDAC PI Meeting, March 2003, Napa, Calif, USA

    Google Scholar 

  50. GIMPS : The Great Internet Mersenne Prime Search. http://www.mersenne.org/

  51. Craven S, Patterson C, Athanas P: Super-sized multiplies: how do FPGAs fare in extended digit multipliers? Proceedings of the 7th International Conference on Military and Aerospace Programmable Logic Devices (MAPLD '04), September 2004, Washington, DC, USA

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Stephen Craven.

Rights and permissions

Open Access This article is distributed under the terms of the Creative Commons Attribution 2.0 International License (https://creativecommons.org/licenses/by/2.0), which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited.

Reprints and permissions

About this article

Cite this article

Craven, S., Athanas, P. Examining the Viability of FPGA Supercomputing. J Embedded Systems 2007, 093652 (2007). https://doi.org/10.1155/2007/93652

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1155/2007/93652

Keywords